omniture

新思科技與中芯國際攜手推出增強型90納米參考流程,以降低集成電路的設(shè)計和測試成本


最新推出的設(shè)計流程簡化了低功耗片上系統(tǒng) (SOC) 的開發(fā)和測試過程

加州芒廷維尤和上海2月26日電 /新華美通/ -- 為全球半導(dǎo)體設(shè)計和制造提供軟件和知識產(chǎn)權(quán)的主導(dǎo)企業(yè)新思科技 (Nasdaq:SNPS) 和世界領(lǐng)先的集成電路芯片代工公司之一,中芯國際集成電路制造有限公司(“SMIC”,紐約證券交易所:SMI,香港聯(lián)合交易所:0981)今日宣布,共同推出一個支持層次化設(shè)計及多電壓設(shè)計的增強型90納米 RTL-to-GDSII 參考設(shè)計流程。該流程受益于當(dāng)前先進(jìn)的邏輯綜合、可測性設(shè)計 (DFT) 和可制造性設(shè)計 (DFM) 技術(shù),其主要特性包括:Design CompilerTM Ultra 產(chǎn)品的拓?fù)渚C合 (topographical synthesis) 技術(shù)、DFT MAX 產(chǎn)品的掃描壓縮技術(shù)以及 IC Compiler 布局與布線 (place-and-route) 產(chǎn)品的關(guān)鍵區(qū)域分析 (Critical Area Analysis) 技術(shù)。這些技術(shù)的完美融合有助于降低片上系統(tǒng) (SoCs) 的實施和測試成本。

(Logo: http://shjqd.com/sa/200611101605.jpg )

中芯國際設(shè)計服務(wù)資深院士 Paul Ouyang 表示:“為了增強我們的90納米參考流程,我們與新思科技進(jìn)行了緊密合作。最新的設(shè)計迭代過程建立在上述流程的低功耗、DFT 和 DFM 特性的基礎(chǔ)之上。新的流程可以減少綜合迭代次數(shù)并降低測試成本,讓我們的客戶能夠大幅度降低成本和設(shè)計風(fēng)險。”

增強型參考設(shè)計流程3.2版以中芯國際的90納米工藝和新思科技的 Pilot 設(shè)計環(huán)境為基礎(chǔ),目前已使用專為中芯國際90納米工藝開發(fā)的 ARM(R) 低功耗設(shè)計套件在新思科技的 Galaxy(TM) 設(shè)計平臺上進(jìn)行了驗證。該參考流程采用了 Design Compiler Ultra 的拓?fù)渚C合 (topographical synthesis) 技術(shù),該技術(shù)在綜合階段就可以精確預(yù)測布局后的時序、功耗和面積,從而減少邏輯綜合和布局之間的迭代設(shè)計時間。用于低功耗設(shè)計的高級功能包括電平轉(zhuǎn)換器 (Level shifter) 和隔離單元 (Isolation Cell) 的插入和布局優(yōu)化、多電壓區(qū)域的創(chuàng)建、多電源網(wǎng)絡(luò)的自動綜合以及理解多電壓區(qū)域的時鐘樹綜合。為減少靜態(tài)漏電,該設(shè)計流程采用了電源閘控 (Power Gating) 技術(shù),可關(guān)閉處于工作狀態(tài)的芯片區(qū)域的電源。DFT MAX 則用以生成掃描壓縮電路,通過減少生產(chǎn)測試所需的數(shù)據(jù)量和時間來充分降低測試成本。該工具還減少了跨電壓域的掃描鏈連接的數(shù)量,從而縮減了電位轉(zhuǎn)換器 (Level Shifter) 或隔離單元 (Isolation Cell) 的數(shù)量來減少 DFT 對芯片面積的影響。

該參考流程還采用了 IC Compiler 中的關(guān)鍵區(qū)域分析 (CAA) 技術(shù)來確定隨機顆粒缺陷對成品率的影響。通過采用 CAA,設(shè)計人員可以識別出成品率損失較大的電路結(jié)構(gòu),并在生產(chǎn)前采取糾正措施。該流程中的其它 DFM 功能包括連線過孔的優(yōu)化以及插入填充去耦單元 (filler cell and filler cap)。

新思科技戰(zhàn)略市場發(fā)展副總裁 Rich Goldman 表示:“與中芯國際的長期合作使我們能夠通過增強參考流程滿足客戶對 DFT、DFM 和功率管理的不斷變化的需求。與中芯國際的共同努力使我們能夠向我們共同的客戶提供滿足他們所需的先進(jìn)工具和技術(shù),從而實現(xiàn)首次即成功的芯片設(shè)計?!?

供貨情況

參考設(shè)計流程3.2版現(xiàn)已推出。如需了解更多信息,請聯(lián)系您的中芯國際客戶經(jīng)理,或發(fā)送電子郵件至:Design_Services@smics.com。

中芯簡介

中芯國際集成電路制造有限公司(“中芯國際”, 紐約證交所股票代碼:SMI,香港聯(lián)合交易所股票代碼:981)總部位于中國上海,是世界領(lǐng)先的集成電路芯片代工企業(yè)之一,也是中國內(nèi)地規(guī)模較大、技術(shù)先進(jìn)的集成電路芯片制造企業(yè)。中芯國際向全球客戶提供0.35微米到65納米及更先進(jìn)的芯片代工服務(wù)。中芯國際在上海建有三座8吋芯片廠和一座12吋芯片廠。北京建有兩座12吋芯片廠,在天津建有一座8吋芯片廠。中芯國際還在美國、意大利、日本提供客戶服務(wù)和設(shè)立營銷辦事處,同時在香港設(shè)立了代表處。此外,中芯在成都建有封裝測試廠以及有一座代為經(jīng)營管理的8吋芯片廠,在武漢有一座代為經(jīng)營管理的先進(jìn)的12吋芯片廠。詳細(xì)信息請參考中芯國際網(wǎng)站 http://www.smics.com 。

新思科技簡介

新思科技 (Nasdaq: SNPS) 是為全球集成電路設(shè)計提供電子設(shè)計自動化 (EDA) 軟件工具的主導(dǎo)企業(yè)。公司為全球電子市場提供技術(shù)領(lǐng)先的系統(tǒng)和半導(dǎo)體設(shè)計與驗證平臺、集成電路制造和生產(chǎn)優(yōu)化解決方案以及半導(dǎo)體知識產(chǎn)權(quán)和設(shè)計服務(wù)。這些解決方案有助于開發(fā)和生產(chǎn)復(fù)雜的集成電路和電子系統(tǒng)。通過這些全面的解決方案,新思科技可以幫助設(shè)計人員和生產(chǎn)商應(yīng)對包括電源管理在內(nèi)的各項重大挑戰(zhàn),縮短從設(shè)計到成品的時間以及從系統(tǒng)到芯片 (system-to-silicon) 的驗證時間。新思科技的總部設(shè)在美國加利福尼亞州芒廷維尤市 (Mountain View),公司在北美、歐洲、日本和亞洲設(shè)有60多個辦事處。詳情請訪問新思科技的網(wǎng)站: http://www.synopsys.com/ 。

消息來源:中芯國際集成電路制造有限公司
相關(guān)股票:
HongKong:981 NYSE:SMI
China-PRNewsire-300-300.png
全球TMT
微信公眾號“全球TMT”發(fā)布全球互聯(lián)網(wǎng)、科技、媒體、通訊企業(yè)的經(jīng)營動態(tài)、財報信息、企業(yè)并購消息。掃描二維碼,立即訂閱!
關(guān)鍵詞: 電腦/電子
collection